Volume 4, Issue 4 (October 2008)                   IJEEE 2008, 4(4): 165-175 | Back to browse issues page

XML Print


Download citation:
BibTeX | RIS | EndNote | Medlars | ProCite | Reference Manager | RefWorks
Send citation to:

Gh. R. Karimi, and S. Mirzakuchaki. Behavioral Modeling and Simulation of Semiconductor Devices and Circuits Using VHDL-AMS. IJEEE 2008; 4 (4) :165-175
URL: http://ijeee.iust.ac.ir/article-1-83-en.html
Abstract:   (21119 Views)
During the past few years, a lot of work has been done on behavioral models and simulation tools. But a need for modeling strategy still remains. The VHDL-AMS language supports the description of analog electronic circuits using Ordinary Differential Algebraic Equations (ODAEs), in addition to its support for describing discrete-event systems. For VHDL-AMS to be useful to the analog design community, efficient semiconductor device models must be available. In this paper, potential merits of the new IEEE VHDL-AMS standard in the field of modeling semiconductor devices are discussed. The device models for diodes and the principles, techniques, and methodology used to achieve the design of an analytical third generation Spice transistor MOS model named EKV are presented. This is done by taking into account the thermoelectrical effect in VHDL-AMS, and with relevant parameters set to match a deep submicron technology developed in VHDL-AMS. The models were validated using System Vision from Mentor Graphics.
Full-Text [PDF 353 kb]   (8297 Downloads)    
Type of Study: Research Paper |
Received: 2008/12/22 | Revised: 2011/07/09 | Accepted: 2011/07/09

Rights and permissions
Creative Commons License This work is licensed under a Creative Commons Attribution-NonCommercial 4.0 International License.

Creative Commons License
© 2022 by the authors. Licensee IUST, Tehran, Iran. This is an open access journal distributed under the terms and conditions of the Creative Commons Attribution-NonCommercial 4.0 International (CC BY-NC 4.0) license.